From Science Fiction to Reality - The Evolution of EUV Lithography in Chip Fabrication

· 9 min read
From Science Fiction to Reality - The Evolution of EUV Lithography in Chip Fabrication
The Evolution of EUV Lithography in Chip Fabrication / asml.com

The semiconductor industry is a crucial player in the ever-evolving world of technology. From smartphones to computers, semiconductors have become indispensable to our daily lives. As we continue to demand more advanced and powerful electronic devices, the need for cutting-edge semiconductor manufacturing technology becomes increasingly vital. One such technology is EUV lithography, a revolutionary method that is changing the face of the semiconductor industry. Let's explore the fascinating history, workings, and impact of EUV lithography, as well as the proactive industrial policy of the US government for the semiconductor industry.

How EUV Lithography Works?

Extreme ultraviolet (EUV) lithography is a cutting-edge technique used in the semiconductor industry to produce smaller and more powerful computer chips. EUV lithography works by using a light source that generates extremely short wavelengths in the range of 13.5 nanometers (nm), which is significantly smaller than the 193 nm wavelength used in traditional lithography techniques.

EUV lithography uses a series of mirrors to focus the short-wavelength EUV light onto a mask containing the pattern of the chip design. The pattern is then projected onto a light-sensitive coating called the resist, which is applied to a silicon wafer. The resist is exposed to the EUV light, causing a chemical reaction that creates a pattern on the wafer, corresponding to the chip design.

The key advantage of EUV lithography is its ability to create much smaller features and patterns than traditional lithography techniques, which are limited by the wavelength of the light used. The small wavelength of EUV light allows for much higher resolution, enabling the production of computer chips with more transistors and higher processing power.

However, EUV lithography also presents significant technical challenges. The EUV light is absorbed by almost all materials, including air, so the entire lithography process must be performed in a vacuum. Additionally, the mirrors used to focus the EUV light must be made of specialized materials that can withstand the intense heat and radiation generated by the light source.

Despite these challenges, EUV lithography has become an increasingly important tool in the semiconductor industry, enabling the production of advanced computer chips that power everything from smartphones to supercomputers. As technology continues to evolve and demand for ever more powerful computing devices grows, EUV lithography is expected to play an even more critical role in driving innovation and progress in the industry.

The Development of EUV Lithography Technology

The development of EUV lithography technology has been a challenging and lengthy process. Scientists and engineers have been working on this technology for over two decades. Initially, the development was hindered by technical limitations, such as the difficulty in producing EUV radiation at the required intensity and the challenges of designing reflective optics to handle EUV light. These challenges have since been overcome, paving the way for the commercialization of EUV lithography.

EUV lithography systems Using EUV light, our NXE systems deliver high-resolution lithography and make mass production of the world’s most advanced microchips possible
EUV lithography systems / asml.com

Laser-Produced Plasma (LPP)

One significant breakthrough in the development of EUV lithography was the invention of the Laser-Produced Plasma (LPP) source. This source utilizes a pulsed laser to create a plasma of tin droplets that emits EUV radiation. The LPP source provided the necessary intensity of EUV radiation, which was previously unachievable, to power EUV lithography systems.

Highly Reflective Mirrors for EUV

Another significant advancement was the development of highly reflective mirrors to direct and focus EUV light. The mirrors are made of multilayer coatings of molybdenum and silicon, which are optimized to reflect EUV radiation at a wavelength of 13.5 nm. The highly reflective mirrors allow EUV light to be transmitted through the lithography system without significant attenuation.

ASML Leading the Game

To ensure that the EUV lithography technology is viable, a collaborative effort between research institutions, chip manufacturers, and equipment suppliers was crucial. The investment in research and development was substantial, with chip manufacturers funding a significant proportion of the development costs. In 2019, ASML, the main manufacturer of EUV lithography equipment, announced that it had achieved a milestone in the production of 1,000 wafers in a single day using EUV lithography, demonstrating the technology's commercial viability.

The development of EUV lithography technology was a long and challenging process that involved many technological advancements and collaborations between researchers and companies worldwide. The concept of using extreme ultraviolet light to print smaller features on a silicon wafer was first proposed in the 1980s. However, it was not until the late 1990s that EUV lithography started to gain momentum, thanks to the efforts of a group of researchers at the Lawrence Berkeley National Laboratory (LBNL) in California.

ASML’s developed its first lithography system in 1984, in a leaky shed outside a Philips office building in Eindhoven, the Netherlands ASML
ASML’s developed its first lithography system in 1984, in a leaky shed outside a Philips office building in Eindhoven, the Netherlands / asml.com

Producing EUV with Laser

One of the main challenges in developing EUV lithography technology was creating a reliable source of EUV light. EUV light is generated by heating a tiny droplet of tin with a laser, which then emits a stream of high-energy photons. This process is incredibly complex and requires precise control of the laser, the tin droplet, and the optics that focus and direct the EUV light. After years of research and development, a group of researchers at LBNL succeeded in building a prototype EUV light source that could produce a steady stream of EUV light with enough intensity to be used in lithography.

Optics for EUV

Another major challenge was designing and building the optics required to focus and direct the EUV light onto the silicon wafer. EUV light is highly absorbed by most materials, including air, so the optics must be made of specialized materials that can reflect EUV light without absorbing it. To achieve this, researchers had to develop new materials and coating techniques that could withstand the intense heat and radiation generated by the EUV light source.

The EUV LLC consortium

In the early 2000s, several semiconductor companies, including Intel and Samsung, formed the EUV LLC consortium to pool their resources and collaborate on the development of EUV lithography technology. This consortium helped to fund research and development efforts at LBNL and other institutions around the world, accelerating progress toward the commercialization of EUV lithography.

After several years of testing and optimization, the first commercial EUV lithography tool was released by ASML in 2014. This tool was capable of printing features as small as 14 nanometers, a significant improvement over the previous generation of lithography tools. Since then, the technology has continued to improve, with ASML releasing new generations of EUV lithography tools with even higher resolutions and throughput.

Advancements in EUV Lithography Technology

Since its initial development, EUV lithography has undergone numerous advancements, making it a promising candidate for the next generation of semiconductor manufacturing. One of the major challenges in EUV lithography is the development of a powerful and reliable source of EUV light. Over the years, several advancements have been made in EUV sources, including the development of laser-produced plasma (LPP) and Gas-Discharge-Produced Plasma (GDPP) sources. These sources are capable of producing EUV light with higher power, stability, and reliability than their predecessors.

Developing EUV Masks

In addition to EUV sources, significant progress has been made in developing EUV masks. The complexity of EUV lithography requires the development of advanced masks capable of handling EUV light with high intensity and a shorter wavelength. The current state-of-the-art EUV mask is known as the high-NA EUV mask, which enables higher resolution and pattern transfer fidelity in EUV lithography.

EUV Resist Materials

Another area of advancement in EUV lithography is the development of EUV resist materials. Resist materials play a critical role in the lithography process by serving as a mask for pattern transfer onto the substrate. EUV resist materials must be capable of absorbing and releasing EUV light at high efficiency while maintaining high resolution and sensitivity. Over the years, significant progress has been made in developing high-performance EUV-resist materials, such as chemically amplified resist (CAR) and inorganic resist materials.

Extreme UltraViolet Reflectometry (EUVR)

Furthermore, advancements in EUV lithography have also led to the development of new imaging techniques. One such technique is called extreme ultraviolet reflectometry (EUVR), which allows for the measurement of the thickness and refractive index of thin films used in EUV lithography. This technique is crucial in ensuring the accuracy of the lithography process and the quality of the final product.

The Impact of EUV Lithography on the Semiconductor Industry

EUV lithography has had a significant impact on the semiconductor industry. The technology has enabled manufacturers to produce smaller and more complex chips at a higher volume than ever before. This has resulted in increased performance, reduced power consumption, and lower costs for consumers.

Increased Number of Transistors on a Chip

One of the biggest advantages of EUV lithography is its ability to print smaller features on a chip. This has allowed manufacturers to increase the number of transistors on a chip, leading to increased performance. Additionally, the smaller feature size also results in lower power consumption, making devices more energy-efficient. This has become increasingly important as mobile devices have become more prevalent, and battery life has become a critical factor for consumers.

Multi-Layered Chips

Furthermore, EUV lithography has also allowed for the production of more complex chips. With traditional lithography techniques, producing complex chips with many layers requires multiple exposures and alignment steps, which can be time-consuming and expensive. EUV lithography enables the production of chips with many layers in a single exposure, reducing production time and costs.

7nm and Beyond

EUV lithography has had a profound impact on the semiconductor industry, enabling the production of smaller, faster, and more energy-efficient microchips. With EUV lithography, chip manufacturers can create transistors with feature sizes as small as 7 nanometers, which is significantly smaller than the previous generation of lithography technology. This allows for more transistors to be packed into a smaller area, resulting in higher processing speeds and more efficient power consumption.

Reduced Production Costs

In addition to increasing processing speeds, EUV lithography has also helped reduce production costs. The process of manufacturing microchips using EUV lithography is less complicated and time-consuming than traditional lithography methods. As a result, chip manufacturers can produce more microchips in less time, leading to increased productivity and lower production costs.

5G, AI, and AV

EUV lithography has also contributed to the development of new technologies such as 5G networks, artificial intelligence, and autonomous vehicles. These technologies require high-performance microchips that can handle massive amounts of data at lightning-fast speeds. EUV lithography has made it possible to produce these high-performance microchips at a much lower cost, making them more accessible to a wider range of industries.

Micro-ElectroMechanical Systems (MEMS)

Furthermore, the impact of EUV lithography on the semiconductor industry is not limited to the production of microchips. Technology has also enabled the development of new materials and devices that were previously impossible to create. For example, EUV lithography has enabled the production of three-dimensional microstructures, which can be used in micro-electromechanical systems (MEMS), micro-optics, and other applications.

The US Government's Proactive Industrial Policy For The Semiconductor Industry

The US government has recently taken a proactive industrial policy stance towards the semiconductor industry to address the shortage of chips and maintain the country's technological edge. This policy includes funding for research and development, incentives for domestic manufacturing, and measures to increase the country's semiconductor workforce.

The CHIPS for America Act

In May 2021, the US Senate approved a bill that allocates $52 billion for the semiconductor industry, including $2 billion for R&D and $50 billion for domestic manufacturing and development. This funding will help support the development of new technologies and the expansion of manufacturing capacity within the country.

Additionally, the government has implemented measures to incentivize domestic semiconductor manufacturing. The CHIPS for America Act, passed in December 2020, provides tax credits to companies that invest in semiconductor manufacturing in the US, as well as funds for the construction of new semiconductor fabs. The government has also created a new national semiconductor technology center to coordinate research and development efforts.

Addressing the Shortage of Skilled Workers in the US

The US government is also taking steps to address the shortage of skilled workers in the semiconductor industry. In March 2021, President Biden signed an executive order to establish a task force to address the shortage of semiconductor manufacturing workers. The task force will identify opportunities to train and attract new workers to the industry and promote diversity and inclusion in the workforce.

The development of EUV lithography technology has revolutionized the semiconductor industry, enabling the production of increasingly powerful and sophisticated computer chips. The advancements in this field have been made possible by the collaboration of experts from various industries, research institutions, and government agencies. The US government's proactive industrial policy for the semiconductor industry has played a significant role in fostering innovation and growth in this field, ensuring that the US remains at the forefront of this critical industry.

The future of the semiconductor industry looks promising, with continued research and development leading to the creation of even more powerful and energy-efficient computer chips. As we move towards an increasingly digital future, the importance of the semiconductor industry cannot be overstated. From powering our smartphones to driving advancements in artificial intelligence and machine learning, computer chips are at the heart of our technological progress.

The development of EUV lithography technology is a testament to what can be achieved through innovation, collaboration, and a willingness to invest in the future. As we continue to push the boundaries of what is possible, we can be confident that the semiconductor industry will continue to drive progress and change in ways that we cannot even imagine. It is an exciting time to be a part of this industry, and we look forward to seeing what the future holds.

Source: qyresearch.com / mei.edu / asml.com / sciencedirect.com / ijert.org / bloomberg.com / pubs.aip.org /ieeexplore.ieee.org / semiengineering.com / cnbc.com / engadget.com / euvlitho.com / bis.doc.gov / spiedigitallibrary.org / spie.org / researchgate.net / investopedia.com / whitehouse.gov